Welcome![Sign In][Sign Up]
Location:
Search - traffic light

Search list

[Other resourcetraffic-light-base-on-µCOS

Description: program about traffic light base on µ C/OS-Ⅱ kernel-program about traffic light on base
Platform: | Size: 47243 | Author: 赵晓峰 | Hits:

[Embeded-SCM DevelopTRAFFIC

Description: 交通灯模拟控制器- Traffic light simulation controller
Platform: | Size: 2048 | Author: maple | Hits:

[JSP/Java交通信号灯

Description: 是一个交通灯的源代码-is a traffic light source
Platform: | Size: 2048 | Author: 肜若愚 | Hits:

[Process-ThreadTrafficLights

Description: 十字路口的红绿灯管制问题,实现多进程并发控制。车数,车速可调-crossroads traffic light control, multi-process concurrency control. Several vehicles, adjustable speed
Platform: | Size: 454656 | Author: 王回 | Hits:

[Embeded-SCM Develop十字交通灯的实现

Description: 十字路口交通信号灯控制系统的设计基本要求:(利用8088、8254、8255及其它器件实现交通信号灯控制)(1)南北与东西方向,每个方面由红黄绿三个灯控制(2)南北向: 红(30秒),黄(5秒),绿(25秒)   东西向: 红(35秒),黄(5秒),绿(25秒)   上述基本参数可以根据实际情况自行调整,灯的变化规律与实际路口规律相同,绿灯在最后5秒钟时,黄灯亮,然后红灯亮,不允许两个方面同时亮绿灯。(3)绿灯的时间显示在数码管上进行显示。(4)可以通过一个开关控制,当开关信号为0时,整个交通灯全灭提高要求:(1)设计一个紧急控制开关信号,当紧急开关信号为1时,两个方向的灯全为红灯。紧急开关撤消后,按照开关按下之前的状态继续运行(其参数要保存)。(2)设计一个夜间行车开关,当开关按下后,两个方向都只有黄灯闪烁,其它灯熄灭。(3)设计两个方向的亮时时间可调。--A traffic light system. It uses 8088, 8254, 8255 and other modules to control the lights.
Platform: | Size: 3072 | Author: 罗春威 | Hits:

[Delphi VCL交通线程

Description: 一个交通红绿灯线程,利用组件timer来进行时间分割,出来的效果真的不错~-a traffic light threads, the use of components timer for time-sharing, the result is really good ~
Platform: | Size: 237568 | Author: 蔡士 | Hits:

[Software Engineering基于PCL的工业以太网控制技术实现

Description: 本论文提出的“基于PLC的工业以太网控制的实现”,是通过研究工业以太网与西门子S7-300系列PLC,最终实现交通灯信号控制。安装有STEP7软件的上位机通过以太网与CPU315-2DP的以太网部件连接,在CPU315之间实现硬件组态。并在计算机中利用STEP7软件编写程序。利用计时器、比较指令等方法模拟交通灯控制,并将此模拟程序下载到PLC的CPU中,实现基于PLC的工业以太网控制交通灯信号。-the thesis of "PLC-based control of industrial Ethernet achieve," through research on industrial Ethernet and Siemens S7-300 Series PLC, the ultimate realization of traffic signal control. Installation of the software is STEP7 PC via Ethernet and CPU315- 2DP Ethernet connectivity components, CPU315 between hardware configuration. And in the computer software used STEP7 programming. Use timers, compare instructions simulation methods such as traffic lights control, this simulation program will be downloaded to the PLC CPU, PLC-based control of industrial Ethernet traffic light signals.
Platform: | Size: 607232 | Author: 成才 | Hits:

[VHDL-FPGA-Verilogtraffic_1112

Description: 一个交通灯的vhdl语言实现 用 VC的  1.在指定的文件夹内查找某个文件      2.获取系统文件夹的路径, 要求显示windows system temp 当前目录的路径 C语言  跳马问题:在5*5的棋盘上,以编号为1的点出发,按日只跳马,要求不重复地跳所有位置,求出符合规则所有跳马的方案     1  6  15  10  21     14 9  20  5   16     19 2  7   22  11     8  13 24  17  4     25 18 3   12  23 -a traffic light VHDL language of a VC. The designated folders to search within a document 2. Access to the system folder path, requested that the current windows system temp directory path C language vault : 5* 5 in the chessboard to the No. 1 starting point, the only daily vault and asked not to repeat all locations to jump to get in line with all rules of the program vault 1 6 15 10 21 14 9 20 5 16 19 2 7 22 11 8 13 24 17 4 25 18 3 12 23
Platform: | Size: 1024 | Author: 小三 | Hits:

[transportation applicationstraffic

Description: TRAFFIC LIGHT CONTROLLER using C51 and RTX-51 tiny,This program is a simple Traffic Light Controller. Between start time and end time the system controls a traffic light with pedestrian self-service. Outside of this time range the yellow caution lamp is blinking.-TRAFFIC LIGHT CONTROLLER using C51 and RTX-51 tiny, This program is a simple Traffic Light Controller. Between start time and end time the system controls a traffic light with pedestrian self-service. Outside of this time range the yellow caution lamp is blinking.
Platform: | Size: 6144 | Author: 晓风 | Hits:

[SCMtraffic

Description: This program is a simple Traffic Light Controller. Between start time and end time the system controls a traffic light with pedestrian self-service. Outside of this time range the yellow caution lamp is blinking.
Platform: | Size: 3072 | Author: 丁德峰 | Hits:

[SCMTraffic

Description: 交通指示灯的控制小程序 用的是80c51单片机 可进行十字路口四个方向的控制 采用的是keil_c的编译器-Traffic light control using a small program 80C51 single-chip microcomputer can control the direction of the crossroads of four used by the compiler is keil_c
Platform: | Size: 15360 | Author: 刘光勇 | Hits:

[Embeded-SCM Developtraffic

Description: 我的一个交通灯的课程设计,有论文、proteus功能仿真图以及程序原代码,有需要做课程设计的可以参考一下-I am a traffic light curriculum design, has papers, proteus functional simulation map and the original program code, there is a need to make curriculum design can refer to
Platform: | Size: 235520 | Author: 韩性启 | Hits:

[VHDL-FPGA-VerilogTraffic

Description: 使用ALTERA上DE2平台,使用Verilog描述,交通灯控制。-Using ALTERA on DE2 platform, use the Verilog description of the traffic light control.
Platform: | Size: 263168 | Author: 徐朝凯 | Hits:

[VHDL-FPGA-Verilogtraffic

Description: Verilog HDL语言设计的交通灯设计-Verilog HDL language designed traffic light design
Platform: | Size: 312320 | Author: yyfeng | Hits:

[2D Graphictraffic

Description: 红绿灯交通系统仿真。可调节车流量(Car Flux)和司机遵守交规的概率(Abide Rate)。细节部分如车的转向灯、尾灯都体现出来了。-Traffic light traffic system simulation. Adjustable flow (Car Flux) and drivers to comply with the probability of cross-regulation (Abide Rate). Details such as the car turned lights, taillights are manifested.
Platform: | Size: 51200 | Author: ctqy | Hits:

[ARM-PowerPC-ColdFire-MIPSTraffic

Description: ARM-RTX系统一个交通灯示例,只需修改相对函数就可以用到自己开发的系统上-ARM-RTX system, a traffic light example, simply modify the relative function can be used on their own developed systems
Platform: | Size: 96256 | Author: 蔡蔚 | Hits:

[SCMtraffic-light

Description: proteus制作的交通灯仿真系统,可以看到剩余时间,很是方便啊,先下载看看,肯定有用,学习proteus的例子,自己制作的-proteus for traffic light
Platform: | Size: 54272 | Author: 梁满志 | Hits:

[SCMintelligent-traffic-light-system-

Description: 基于单片机的智能交通灯系统,可用于毕业设计或平时的课程设计,当然也是平时学习的好材料-Microcontroller-based intelligent traffic light system can be used for graduation or the usual curriculum design, course material is usually a good learning
Platform: | Size: 217088 | Author: 79XIE | Hits:

[VHDL-FPGA-VerilogTraffic Lights

Description: VHDL 交通信号灯设计代码,实现简单的十字路口红绿黄信号灯的转换(VHDL traffic light design)
Platform: | Size: 3721216 | Author: tingli | Hits:

[Othertraffic light

Description: use ardunio to run traffic lights on auxiliary board, it is just my test code, may you have a good luck
Platform: | Size: 8192 | Author: wqc123 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net